ULX3SでLチカ
Lチカの手順
(1) Mac用のツールチェインをインストール
$ brew tap kost/homebrew-ulx3s
$ brew install --HEAD project-trellis yosys nextpnr-trellis fujprog
(2) サンプルプログラムからbitファイルをビルド
code:sh
$ cd blink
$ make clean
$ make ulx3s.bit
(3) bitファイルをアップロード
code:sh
$ fujprog ulx3s.bit
ギラッギラにLチカするULX3S
https://gyazo.com/6725a30175af4ac3a13e9f71a82d5bfb
ソースを眺める
blinky.v
Verilogのソースファイル
blinky.ys
Yosysスクリプト(ソースの読み込みと合成を行い、blinky.json が生成される)
code:blinky.ys
read_verilog blinky.v
synth_ecp5 -noccu2 -nomux -nodram -json blinky.json
ulx3s_v20.lpf
ULX3S用の制約ファイル
ビルドの詳細を調べる
(Makefileの依存関係)
ulx3s.bit
ulx3s_out.config
blinky.json
blinky.ys
blinky.v
(ビルドの手順)
(1) yosos blinky.ys
blinky.vとblinky.ysから、blinky.jsonが生成される
(2) nextpnr-ecp5 --85k --json blinky.json --lpf ulx3s_v20.lpf --textcfg ulx3s_out.config
blinky.jsonとulx3s_v20.lpfから、ulx3s_out.configが生成される
(3) ecppack ulx3s_out.config ulx3s.bit
ulx3s_out.configから、ulx3s.bitが生成される
参考
ULX3S Quick Start
Blink ULX3S LED